几款十分具有代表性的电路仿真软件-飞外网

摘要:电路仿真,顾名思义就是设计好的电路图通过仿真软件进行实时模拟,模拟出实际功能,然后通过其分析改进,从而实现电路的优化设计;是EDA(电子设计自动化)的一部分。

市面上有各种类型的仿真器,本文对其中几款十分具有代表性的电路仿真软件进行了简要介绍,希望能对大家有所帮助。

1、proteus

Proteus是英国著名的EDA工具,从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到:PCB设计,真正实现了从概念到产品的完整设计,是世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DSPIC33、AVR、ARM、8086和MSP430等;2010年又增加了Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和Matlab等多种编译器。

Proteus是一个巨大的教学资源,在模拟电子、数字电路、单片机与嵌入式教学等实践中被广泛应用。

软件地址:https://www.labcenter.com/downloads/

网盘地址:https://pan.baidu.com/s/1HwMD3eXIyNx_OAuu4QJGwQ

提取码:inmu

2、LTspice

LTspice是一款高性能SPICE仿真软件、原理图采集和波形查看器,集成增强功能和模型,简化了模拟电路的仿真。是半导体制造商Analog Devices出品的基于Spice的软件,功能同样强大,使用非常广泛。

LTspice是一款高性能SPICE仿真软件、原理图采集和波形查看器,集成增强功能和模型,简化了模拟电路的仿真。在网上搜索“LTspice simulator”就能找到软件的主页,主页提供了软件的不同版本,可以按照自己的操作系统选择适合的版本并安装,软件大小不到60M,安装非常简单。下图给出的是软件打开后的演示界面。

软件地址:

https://www.analog.com/en/design-center/design-tools-and-calculators/ltspice-simulator.html

3、Tina-TI

德州仪器公司(TI)与DesignSoft公司联合为客户提供了一个强大的电路仿真工具TINA-TI。TINA-TI适用于对模拟电路和开关式电源(SMPS)电路的仿真,是进行电路开发与测试的理想选择。TINA基于SPICE引擎,是一款功能强大而易于使用的电路仿真工具;而TINA-TI 则是完整功能版本的TINA,并加载了TI公司的宏模型以及无源和有源器件模型。

TI之所以选择TINA仿真软件而不是其它的基于SPICE技术的仿真器,是因为它同时具有强大的分析能力和简单直观的图形界面,并且易于使用。

TINA-TI 提供了多种分析功能,包括SPICE的所有传统直流、交流、瞬态、频域、噪声分析等功能。虚拟仪器非常直观且功能丰富,允许用户选择输入波形、探针电路节点电压和波形。TINA的原理图捕捉非常直观,使用户真正能够“快速入门”。另外TINA具有广泛的后处理功能,允许用户设置输出结果的格式。

软件地址:https://www.ti.com.cn/tool/cn/TINA-TI

网盘地址:https://pan.baidu.com/s/1-QAWMDpRVVodTpksfRk3jw

提取码:6u8t

4、Multism

Multisim(旧名Electronics Workbench)是一款著名的电子设计自动化软件,与NI Ultiboard同属美国国家仪器公司的电路设计软件套件。是入选伯克利加大SPICE项目中为数不多的几款软件之一。Multisim在学术界以及产业界被广泛地应用于电路教学、电路图设计以及SPICE模拟。

工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

其前身是加拿大EWB仿真软件,被美国NI公司收购之后,其性能得到了极大的提升。最大的改变就是:Multisim 9与LABⅥEW 8的完美结合。

网盘地址:https://pan.baidu.com/s/1iRz-ZcGbt54GFM8Q69iiOg

提取码:2x4c

5、Scratch

最后再给大家介绍一款我经常使用的轻量级在线电路仿真工具,其特点是轻量化,上手更容易。贴几个动态GIF,让大家看看效果:

1、施密特触发器


2、Flash型ADC


3、差分放大器


4、高通滤波器


5、诺顿定理


6、运放741内部工作机理

软件地址:http://scratch.trtos.com/circuitjs.html

审核编辑 :李倩


如何打造中国IC产业发展的EDA供应链 作为国内第一家EDA上市企业,概伦电子在EDA领域主要侧重两个方面,即提供针对存储器、模拟和混合信号.... 发表于 06-30 12:44 •
DSO.ai持续引领AI设计芯片新纪元 热启动让效率加倍 EDA+AI发力 来源:新思科技 1956年人工智能(AI)概念被提出时,即使是想象力最丰富的预言家,应该也难以预料到.... 半导体芯科技SiSC 发表于 06-29 16:29 • 1136次
概伦电子DTCO流程加速工艺开发和设计迭代 2022 中国·南沙国际集成电路产业论坛在广州南沙召开。概伦电子董事兼总裁杨廉峰博士受邀出席产业链协.... 科技绿洲 发表于 06-28 16:45 • 254次
CMOS模拟集成电路EDA设计技术part1 CMOS模拟集成电路EDA设计技术part1 [戴澜] 主编 适合EDA基础工具的学习 发表于 06-27 15:15 •
CMOS模拟集成电路EDA设计技术part2 CMOS模拟集成电路EDA设计技术 [戴澜 主编] 2014年版.part2.rar 适合模拟电路.... 发表于 06-27 15:14 •
是德科技与新思科技共同合作,支持台积电N6RF设计参考流程 来源:是德科技 PathWave RFPro 与新思科技定制化编译器相辅相成,可提供无线晶片设计工作.... 半导体芯科技SiSC 发表于 06-27 14:41 •
随着集成电路产业的发展,设计规模越来越大,制造工艺越来越复杂,设计师依靠手工难以完成相关工作,必须依.... 半导体产业纵横 发表于 06-24 10:58 • 369次
瞬曜EDA发布RTL高速仿真器ShunSim,大幅提升超大规模集成电路验证效率 为了将产品尽快推向市场,大规模集成电路设计厂商在有限的设计周期内,提高芯片验证的完整性,对验证效率的.... 发表于 06-24 09:37 • 863次
新思科技DesignDash解决方案推进数字芯片设计流程 任何数字芯片设计流程的背后,都有大量关于芯片设计健康状况的信息尚待发掘。如果能把这些信息无缝、高效的.... 新思科技 发表于 06-23 14:17 • 140次
国内EDA、IPD行业的领军企业芯和半导体于2022年IMS国际微波周活动上,发布了最新的射频EDA.... 文传商讯 发表于 06-22 10:26 • 794次
飞外网报道(文/周凯扬)在IC设计公司眼中,降本是一个永不终止的目标。我们此前已经提到了降低设.... E4Life 发表于 06-22 09:37 • 1211次
国内EDA、IPD行业的领军企业芯和半导体于正在美国丹佛举行的2022年IMS展会上宣布,其IPD芯.... 科技绿洲 发表于 06-21 16:32 • 489次
根据可用空间,经常需要在不同区域使用不同尺寸的过孔。xPD支持根据定义的走线区域,自动调整过孔大小的.... 西门子PCB及IC封装设计 发表于 06-21 11:06 • 239次
国内EDA、IPD行业的领军企业芯和半导体于正在美国丹佛举行的2022年IMS展会上宣布,其IPD芯.... 文传商讯 发表于 06-21 09:55 • 630次
然而,在仿真的情况下,软件算法使用设计语言处理表示设计模型的数据,而仿真器使用处理器阵列启用的计.... 星星科技指导员 发表于 06-19 15:27 • 309次
EDA技术企业概伦电子发布2022第一季度报告 EDA技术企业上海概伦电子股份有限公司发布2022第一季度报告,具体内容如下。 一、 主要财务数据 .... 汽车玩家 发表于 06-16 10:05 • 196次
纵观国内知名的FPGA厂商,基本也都拥有自家的EDA软件和工具链。比如复旦微的自主研发EDA软件Pr.... 要长高 发表于 06-15 16:07 • 2933次
昨天的文章中金誉半导体就提到了,芯片制作的第一个步骤就是制定芯片方案设计,只有把芯片的内部制造方案设.... 半导体行业相关 发表于 06-15 15:22 • 3166次
电源系统和电源管理需要并行处理您可能会看到的不同工作负载,这样您就不会因为消耗电力而浪费周期。 要长高 发表于 06-14 16:43 • 1178次
ASIC 设计的风险是巨大的,从失去市场机会和收入到公司因设计失败而倒闭。在冒险走捷径时,很多事.... 星星科技指导员 发表于 06-14 10:55 • 277次
对于FPGA来说,开源FPGAEDA工具和GCC的情况类似,虽然目前还停留在兴趣项目层面,但其进化速.... FPGA之家 发表于 06-14 09:47 • 233次
目前,EDA仿真平台面临几个困境,一个是面对混合型业务,存储更容易成为瓶颈。这是因为EDA仿真主要分.... 电机控制设计加油站 发表于 06-08 10:21 • 1020次
西南交通大学计算机学院党委副书记一行到访英诺达 上周,西南交通大学计算机与人工智能学院党委副书记肖老师等一行人到访英诺达,与王琦博士就人才培养体系的.... 科技绿洲 发表于 06-06 17:56 • 497次
英诺达为国产EDA人才培养体系的搭建贡献各类资源 上周,西南交通大学计算机与人工智能学院党委副书记肖老师等一行人到访英诺达,与王琦博士就人才培养体系的.... 英诺达EnnoCAD 发表于 06-06 17:35 • 433次
新思科技正式推出全新DesignDash设计优化解决方案 新思科技(Synopsys)近日正式推出全新DesignDash设计优化解决方案,以扩展其EDA数据.... 科技绿洲 发表于 06-06 15:30 • 271次
新思科技全新解决方案助力加速IC设计流程 加利福尼亚州山景城,2022年6月2日– 新思科技(Synopsys, Inc.,纳斯达克股票代码:.... 半导体芯科技SiSC 发表于 06-02 16:09 • 1496次
在电路设计中,工程师经常用到的软件中包括电路设计和电路仿真,文章主要说一下关于电路仿真实验用到的软件.... 嵌入式应用开发 发表于 06-01 10:39 • 2507次
芯华章发布数字验证调试系统—昭晓Fusion Debug™ 近期,芯华章正式发布了基于创新架构的数字验证调试系统——昭晓Fusion Debug™。在研讨会暨新.... 科技绿洲 发表于 05-30 16:31 • 705次
原创 | PDF多人协同评审工具DEMO,EDA大家庭再添新成员 在线评审工具为了解决PCB评审沟通过程中产生的相关问题,为昕科技推出了iReview 在线评审工具,实现评审意见和设计文档的一体... 发表于 05-30 10:09 • 6657次
5nm及更先进节点上FinFET的未来:使用工艺和电路仿真来预测 虽然栅极间距(GP)和鳍片间距(FP)的微缩持续为FinFET平台带来更高的性能和更低的功耗,但在5.... 发表于 05-27 17:24 •
国微思尔芯获上海市“专精特新”企业称号 日前,上海市经济和信息化委员会对2021年度上海市“专精特新”企业名单进行了公示。国微思尔芯作为集成.... 科技绿洲 发表于 05-26 17:22 • 441次
集成电路数字EDA厂商国微思尔芯获评上海市“专精特新”企业 日前,上海市经济和信息化委员会对2021年度上海市“专精特新”企业名单进行了公示。国微思尔芯作为集成.... 国微思尔芯 发表于 05-26 16:12 • 1430次
upc7905 输出电压存在误差,如何更改? 老师让设计一个正负12V和正负5V的稳压源(误差在正负0.1%),LM78系列输出正常,但是LM79系列输出误差都在0.6V左右 ,UP... 发表于 05-18 17:50 • 4809次
94个项目!“太湖之芯”创业大赛初赛-深圳赛区圆满落幕 本次大赛以“创‘芯’征程‘链’接未来”为主题,旨在整合无锡、深圳两地在集成电路发展上的优势,辐射长三.... 时光流逝最终成了回忆 发表于 05-12 18:30 • 586次
EDA是集成电路设计与制造流程的支撑。北京大学是国内较早开展EDA教学和科研的高校之一,目前在集成电.... 概伦电子Primarius 发表于 05-11 11:09 • 387次
概伦电子与北京大学合作 推动EDA领域前沿技术发展 5月11日,联合实验室揭牌仪式在北京大学微纳电子大厦和概伦电子北京恒通园办公室同步举行,部分嘉宾线上.... 科技绿洲 发表于 05-11 11:09 • 576次
芯华章发布数字验证调试系统—昭晓Fusion DebugTM 2022年5月11日,EDA(集成电路设计工具)智能软件和系统领先企业芯华章正式发布基于创新架构的数.... 科技绿洲 发表于 05-11 10:09 • 566次
芯华章推出数字验证调试系统昭晓Fusion DebugTM EDA(集成电路设计工具)智能软件和系统领先企业芯华章正式发布基于创新架构的数字验证调试系统——昭晓.... 芯华章科技 发表于 05-11 09:55 • 355次
人们意识到他们需要大量的处理器。他们需要自己的处理器结构。您需要以您想要的方式配置它们。现成的技术对.... 要长高 发表于 05-07 17:38 • 1608次
5nm及更先进节点上FinFET的未来:使用工艺和电路仿真来预测下一代半导体的性能 虽然栅极间距(GP)和鳍片间距(FP)的微缩持续为FinFET平台带来更高的性能和更低的功耗,但在5.... 21克888 发表于 05-07 14:10 • 5612次
立创EDA使用教程分享 生产与下单怎么生成生产文件?怎么在立创EDA下单购买元件和 PCB? 发表于 05-06 14:47 • 127次
芯启源出席IP SoC Silicon Valley Day 2022 近日,芯启源作为全球领先的IP&EDA厂商,受邀出席Design&Reuse在美国硅谷举办的IP S.... 芯启源 发表于 05-06 14:10 • 390次
芯和半导体成为首家加入UCIe产业联盟的国产EDA 2022年4月29日,中国上海讯——国产EDA行业的领军企业芯和半导体近日宣布正式加入UCIe(Un.... 21克888 发表于 04-29 15:46 • 1172次
对于硬件和软件工程项目,关于基于云的 EDA 工具的讨论正在升温,为可以根据需要扩展和缩减的大量计算.... 物联网评论 发表于 04-29 15:24 • 1154次
带你看看上海EDA企业概伦电子的微光成炬 同心抗疫 疫情三年,本该是万物复苏,春暖花开的春季,却因接踵而至的疫情被迫叫停,上海迎来疫情常态化防控以来最严.... 概伦电子Primarius 发表于 04-28 09:32 • 1072次
你能想象不能用手机进行线上付款,不能通过APP看电影、以及不能线上购物在家坐等快递送货上门的日子吗? 新思科技 发表于 04-27 14:11 • 431次
【报名福利】15+年经验工程师,教你八分钟搞定EDA建库! 目前板级EDA软件市场主要由Cadence、Siemens(原Mentor Graphics)、Zuken、Altium等四家国际巨头瓜分,并且拥有庞大稳... 发表于 04-13 11:26 • 10262次
KiCad 资料汇总贴 KiCad 一个跨平台的开源电子设计自动化套件。KiCad 介绍:KiCad EDA 是一款用于印刷电路板设计的开源自由软件,最初... 发表于 04-08 14:24 • 7810次
KiCad 安装 winget 选择安装不同版本 KiCad1. 并安装 wingetwinget 发行版仓库。选择适合的发行版。(仅限 Windows 1709 以后... 发表于 04-08 14:09 • 8646次
KiCad 简介 KiCad 一个跨平台的开源电子设计自动化套件。KiCad 介绍:KiCad EDA 是一款用于印刷电路板设计的开源自由软件,最初... 发表于 04-08 14:03 • 8330次
发现一个100%国产轻量化的EDA原理图设计工具 原理图设计Jupiter 1.0是一款符合中国国情的原理图设计软件,聚焦核心功能,覆盖原理图设计全流程,功能设计更智能化,界面操作... 发表于 04-06 15:56 • 5940次
Believe it or not 八分钟搞定EDA建库你信不? 智能建库为昕科技创新力作,基于深度学习的图文转换系统,推出业界首例智能建库EDA软件——Venus 1.0。结合人工智能图像识别... 发表于 04-06 15:46 • 11599次
教你如何8分钟完成所有建库的工作 智能建库为昕科技创新力作,基于深度学习的图文转换系统,推出业界首例智能建库EDA软件——Venus 1.0。结合人工智能图像识别... 发表于 04-06 15:10 • 4001次
【中奖公示】恭喜RedEDA直播中奖的小伙伴,速来登记领奖吧!~ 请以下积极参与互动的小伙伴尽快填写兑奖信息,我们将在7个工作日内发出奖品,期待下次还能给你发放奖品哦!~注意时间,过时不... 发表于 03-25 20:21 • 2952次